Giáo Trình Ngôn Ngữ Mô Tả Phần Cứng Verilog (NXB Đại Học Quốc Gia 2012) - Vũ Đức Lung, 303 Trang

Discussion in 'Chuyên Ngành Tin Học' started by nhandang123, Jul 19, 2015.

  1. nhandang123

    nhandang123 Moderator

    [​IMG]
    Ngày nay, khi mạch thiết kế với hàng triệu cổng logic được tích hợp trong một con Chip thì việc thiết kế mạch và đi dây kết nối bằng tay trở nên bất khả thi, chính từ lí do đó một khái niệm ngôn ngữ có mức độ trừu tượng cao dùng để mô tả thiết kế phần cứng được ra đời. Giáo trình Ngôn ngữ mô tả phần cứng Verilog nhằm giúp sinh viên trang bị kiến thức về thiết kế vi mạch. Giáo trình tập trung vào mảng thiết kế các mạch số với mạch tổ hợp và mạch tuần tự, giới thiệu về các bước cần thực hiện trong quá trình thiết kế vi mạch từ việc mô tả thiết kế, kiểm tra, phân tích cho đến tổng hợp phần cứng của thiết kế.
    • Giáo Trình Ngôn Ngữ Mô Tả Phần Cứng Verilog
    • NXB Đại Học Quốc Gia 2012
    • Vũ Đức Lung
    • 303 Trang
    • File PDF-SCAN
    Link Download
    http://lirc.tailieu.vn/tvs/doc/giao-trinh-ngon-ngu-mo-ta-phan-cung-dh-quoc-gia-tp-hcm-238050.html
    https://drive.google.com/drive/folders/1yLBzZ1rSQoNjmWeJTZ3WGQHg04L1
     

    Zalo/Viber: 0944625325 | buihuuhanh@gmail.com

    Last edited by a moderator: Jun 3, 2018

Share This Page